阅读指南:芯片是在集成电路的设计、制造和封装等一系列操作之后形成的。一般来说,集成电路更注重电路设计、布局和布线,而芯片更注重电路集成、生产和封装三个环节。但在日常生活中,“;集成电路“;和报价(&quote);芯片和报价;两者通常被用作相同的概念

复杂而乏味的芯片设计过程𞓜 芯片制造的过程就像用乐高积木盖房子一样。必要的IC 芯片可以在以晶圆为基础的芯片制造过程之后生产,然后逐层堆叠(这些将在后面介绍)。然而,没有设计图纸,就没有强大的制造能力。因此,建筑师的作用是非常重要的。但谁是IC设计的建筑师?接下来,本文将介绍IC设计

在IC生产过程中,IC大多由专业的IC设计公司规划和设计,如联发科、高通、英特尔等知名制造商,他们设计自己的IC芯片,并提供不同规格和效率的芯片供下游制造商选择。因为IC是由每个工厂设计的,所以IC的设计取决于工程师的技术。工程师的素质影响着企业的价值。然而,当工程师设计IC芯片时,步骤是什么?设计过程可以简单地分为以下几步

设计的第一步是制定规范𞓜 在集成电路设计中,最重要的步骤是规范的制定。这一步类似于在设计建筑之前确定需要多少房间和浴室,以及需要遵守哪些建筑法规。在确定所有功能后进行设计,这样就不会在后续修改上花费额外的时间。IC 设计还需要经历类似的步骤,以确保所设计芯片不会有任何错误

制定规范的第一步是确定IC的目的和效率,并设定总体方向。下一步是看看应该遵守哪些协议。例如,无线网卡的芯片需要符合IEEE 802.11 和其他规范。否则,该芯片将与市场上的产品不兼容,无法与其他设备连接。最后是建立该集成电路的实现方法,将不同的功能分配到不同的单元,并建立不同单元之间的连接方法,以完成规格的制定

规格设计完成后,芯片设计的细节如下。这一步就像最初写下建筑的平面图,然后画出整体轮廓,以便后续绘制。在IC芯片中,硬件描述语言(HDL)用于描述电路。常用的HDL包括Verilog、VHDL等。IC的功能可以通过代码轻松表达。下一步是检查程序功能的正确性,并继续修改它,直到它满足所需的功能

▲ 32位加法器的Verilog示例

有了计算机,一切都变得简单了𞓜 有了一个完整的计划,下一步就是绘制一个平面设计蓝图。在IC设计中,逻辑综合步骤是将确定的HDL代码放入电子设计自动化工具(eda tool),并让计算机将HDL代码转换为逻辑电路,以生成以下电路图。然后,反复确定逻辑门设计图纸是否符合规范,并进行修改,直到功能正确为止

▲ 控制单元综合结果

最后,将综合代码放入另一套EDA工具中,用于电路布局和布线。连续检测后,将形成以下电路图。图片中可以看到不同的颜色,如蓝色、红色、绿色和黄色。每种不同的颜色代表一个遮罩。至于如何使用口罩

▲ 常用微积分芯片-FFT芯片,完成电路布局和绕组结果

首先,已知一个IC会产生多个掩模。这些遮罩不同于上层和下层,每一层都有自己的任务。下图是一个简单的掩码示例。以集成电路中最基本的元件CMOS为例,CMOS的全称是互补金属氧化物半导体(CMOSmetal- oxide-半导体),即将NMO和PMO结合形成CMOS。至于什么是金属氧化物半导体(MOS)?这种广泛应用于芯片中的元件很难解释,普通读者也很难理解,所以这里不再赘述

下图中,左侧是电路布局和缠绕后形成的电路图。众所周知,每种颜色代表一个遮罩。右侧是每个引擎盖的展开。制造就是从底层开始,遵循前文提出的方法对IC芯片进行制造,逐层制作,最终制作出所需的芯片

此时,我们应该对IC设计有一个初步的了解。总的来说,很明显IC设计是一个非常复杂的职业。由于计算机辅助软件的成熟,IC设计可以加速。IC设计工厂严重依赖工程师的智慧。这里描述的每个步骤都有自己的专业知识,可以独立成多个专业课程。例如,编写硬件描述语言不仅需要熟悉编程语言,还需要了解逻辑电路如何工作,如何将所需的算法转换为程序,以及合成软件如何将程序转换为逻辑门

晶圆是什么? 在半导体新闻中,我们总是提到以尺寸为标志的晶圆厂,例如8英寸或12英寸晶圆厂。然而,什么是所谓的晶圆?“8”指的是哪一部分?生产大规模晶圆的困难是什么?下面将逐步介绍半导体最重要的基础– - -晶圆是什么?晶圆是制造各种计算机芯片的基础。我们可以将芯片制造比作用乐高积木建造房子,通过层层堆叠来完成我们想要的形状(即各种芯片)。然而,如果没有良好的地基,建造的房子就会弯曲,这不是你想要的。为了建造一个完美的房子,你需要一个稳定的底板。对于芯片制造,该基板是将在下面描述的晶圆

(souse:flickr/jonathanstewart CC by 2.0)

首先,回想一下童年玩高耸积木时,积木表面有一个小的圆形投影。通过这种结构,我们可以在不使用胶水的情况下将两个块稳定地堆叠在一起。芯片制造,以类似的方式,将随后添加的原子和基板固定在一起。因此,我们需要找到表面平整的基底,以满足后续制造所需的条件

在固体材料中,有特殊的晶体结构-单晶。它具有原子一个接一个紧密排列的特点,可以形成一个平坦的原子表面。因此,用单晶制作晶圆可以满足上述要求。然而,如何生产这种材料?有两个主要步骤,提纯和结晶,然后这种材料就可以完成

如何制造单晶晶片的提纯分为两个阶段。第一步是冶金净化。该工艺主要是加入碳,通过氧化还原将氧化硅转化为纯度大于98%的硅。大多数金属,如铁或铜,都是通过这种方式精炼的,以获得足够纯度的金属。然而,98%仍然不足以用于芯片制造,需要进一步改进。因此,西门子 工艺将进一步用于净化。这样,将获得半导体工艺所需的高纯度多晶硅

▲ 硅柱制造工艺(来源:维基百科) 下一步,晶体绘制步骤。首先,熔融上述获得的高纯度多晶硅以形成液态硅。然后,单晶籽晶与液体表面接触,并在旋转时缓慢向上拉。至于为什么需要单晶硅种子,原因是硅原子的排列与排队的人的排列相同。它需要一个领导者,让后来的人知道如何正确安排。硅种子是让后来的原子知道如何排队的重要领导者。最后,在离开液体表面的硅原子凝固后,整齐排列的单晶硅柱完成

▲ 单晶硅专栏(来源:维基百科) 然而,8英寸和12英寸代表什么?他指的是我们生产的水晶柱的直径,它看起来像铅笔夹的一部分。表面经过处理并切割成薄的圆片。制造大规模晶圆的困难是什么?如上所述,水晶柱的制造过程就像制作棉花糖,同时旋转和成型。如果你做过棉花糖,你应该知道,制作大而结实的棉花糖是非常困难的,而水晶绘制的过程也是一样的。旋转和拉伸的速度以及温度的控制将影响晶体柱的质量。因此,尺寸越大,对速度和温度的要求就越高。因此,制造高质量的12英寸晶圆比制造8英寸晶圆更困难,然而,整个硅柱无法制成芯片制造的基板。为了逐个生产硅片,需要用金刚石刀将硅柱水平切割成硅片,然后抛光成芯片制造所需的硅片。经过这么多步骤芯片基板的制造完成了。下一步是堆叠房屋,即芯片制造。如何制作芯片

在介绍了硅片之后,我们也知道制作IC芯片就像用乐高积木建造房子一样。通过层层堆叠,我们可以创建所需的形状。然而,建造房子有很多步骤,IC制造也是如此。制造IC的步骤是什么?本文将介绍IC芯片的制造过程

在开始之前,我们需要了解什么是IC芯片。IC,集成电路的全称,从它的名字可以知道,它以堆叠的方式组合设计的电路。使用这种方法,我们可以减少连接电路所需的面积。下图是IC电路的3D图。从图中我们可以看到,它的结构就像房子的梁和柱,层层堆叠,这就是为什么IC制造被比作建造房子的原因

▲ IC芯片的3D轮廓。(来源:维基百科)𞓜 从上图中IC芯片的3D剖面图来看,底部的深蓝色部分是前一篇文章中介绍的晶圆。从图中,我们可以更清楚地知道晶圆基板在芯片中的重要性。至于红色和卡其色部分,它们是IC制作时需要完成的地方。 首先,红色部分可以比作一栋高楼一楼的大厅。一楼的大厅是房子的入口。它用于访问。当交通受到控制时,它通常有更多的功能。因此,与其他楼层相比,施工将更加复杂,需要更多的步骤。在集成电路中,霍尔是逻辑门层,是整个集成电路中最重要的部分。通过组合各种逻辑门,我们可以完成功能齐全的IC芯片

黄色部分就像一个普通的地板。与第一层相比,它不会有太复杂的结构,并且每一层在建造时不会有太大的变化。该层的目的是连接红色部分中的逻辑门。之所以需要这么多层,是因为要连接的线太多了。当一个图层不能容纳所有线条时,需要堆叠多个图层以实现此目标。在此过程中,不同层的线路将上下连接,以满足布线要求

分层施工,逐层架构𞓜 在了解了IC的结构之后,接下来我们将介绍如何制作它。想象一下,如果我们想用喷漆罐绘制一幅精美的图画,我们需要切下人物的盖板,然后将其覆盖在纸上。然后将油漆均匀地喷在纸上。油漆干燥后,取下百叶窗。重复此步骤后,可以完成整洁而复杂的图形。制造IC是以类似的方式通过覆盖逐层堆叠

制造IC时,可以简单地分为以上4个步骤。虽然实际制造中使用的制造步骤和材料有所不同,但通常采用类似的原则。这一过程与用油漆涂漆有所不同。IC 制造首先进行涂装,然后进行覆盖,而先进行涂装,然后进行涂装。每个过程如下所述

金属飞溅:将要使用的金属材料均匀地洒在晶圆上形成薄膜

涂覆光刻胶:首先将光刻胶材料放置在晶圆上,穿过掩模(掩模的原理将在下次解释),将光束击中不需要的部分,并损坏光刻胶材料的结构。然后,用化学物质冲走受损材料

蚀刻技术:用离子束蚀刻没有光刻胶保护的硅片

光刻胶去除:将残留的光刻胶从光刻胶去除溶液中溶解,从而完成一个过程

最后,将在整个硅片上完成许多IC芯片。然后,只要完成的方形IC芯片被切断,它们就可以被送到包装厂进行包装。什么是包装厂?我稍后再解释▲ 不同尺寸晶圆的比较。(来源:维基百科)𞓜 纳米工艺是什么?三星和台积电在先进的半导体制造工艺中非常活跃。他们都想在晶圆铸造领域领先,赢得订单。它几乎已经成为14nm和16nm之间的争议。然而,数字14nm和16nm的含义是什么,它指的是哪个部分?减少制造流程后,未来会有什么好处和问题?以下是纳米制造过程的简要描述

纳米有多微妙

在我们开始之前,我们应该首先了解纳米的含义。从数学上讲,纳米是0.000000001 m,但这是一个非常糟糕的例子。毕竟,我们只能看到小数点后有许多零,但我们没有实际的感觉。如果比较指甲厚度,可能会更明显

通过直尺的实际测量,可以知道指甲厚度约为0.0001m(0.1mm)。也就是说,试着将一片指甲的侧面切割成100000条线,每条线大约相当于1μnm。由此,你可以想象1纳米有多小

在你知道纳米有多小之后,你也应该了解还原过程的意图。减少晶体管的主要目的是在较小的芯片中插入更多的晶体管,使芯片不会因技术改进而变大;其次,它可以提高处理器的效率;此外,减小体积也可以降低功耗;最后,芯片尺寸减小后,就更容易将其插入移动设备,以满足未来对轻量化的需求

让我们回到探索纳米工艺是什么。以14 nm为例,该过程意味着芯片中的最小线可以是14 nm。下图以传统晶体管的外观为例。缩小晶体管的主要目的是降低功耗。然而,为了达到目的,应该减少哪些部分?左图中的L是我们希望减少的部分。通过减少栅极长度,电流可以使用从漏极端到源极端的较短路径(如果您感兴趣,可以使用谷歌搜索MOSFET,这将得到更详细的解释)

(来源:)𞓜 此外,计算机在0和1上运行。晶体管如何达到这一目的?方法是判断晶体管是否有电流。当在栅极端(绿色方形)提供电压时,电流将从漏极端流向源极端。如果没有电源电压,电流将不会流动,这可以表示1和0。(至于为什么我们应该用0和1来判断,如果你感兴趣,你可以检查布林代数。我们用这种方法来制作计算机) 尺寸缩减有其物理限制 然而,没有限制就无法缩减过程。当我们把晶体管缩小到20纳米左右时,我们会遇到量子物理方面的问题。晶体管将有泄漏,这抵消了通过降低L 获得的好处。作为改进方法,导入FinFET(tri-如右上图所示。在Intel®之前的解释中,可以知道通过引入此技术,可以减少物理现象造成的泄漏。 (来源:)𞓜 更重要的是,这种方法可以增加栅极端部和下层之间的接触面积。在传统实践中(左上),接触面只有一个平面,但FinFET(tri- Gate)采用此技术后,接触面将变得三维,可以轻松增加接触面积,从而ource-排水管端变得更小,这大大有助于减小尺寸。最后,这就是为什么一些人说,大型工厂在进入10纳米工艺时将面临相当严峻的挑战。主要原因是原子的大小约为0.1纳米。在10 nm的情况下,一条线中只有不到100个 原子,这非常困难。此外,只要一个原子中有缺陷,如原子脱落或制造过程中有杂质,就会出现未知现象,影响产品的收率

如果你无法想象困难,你可以做一个小实验。将100个珠子放在桌子上,形成一个10×10 的正方形,然后切一张纸盖住珠子,然后用小刷子刷掉旁边的珠子,最后形成一个10×5 的矩形。通过这种方式,我们可以了解各大工厂面临的困难以及实现这一目标的难度

由于三星和台积电将在不久的将来完成14纳米和16纳米Finfet的大规模生产,他们都想与苹果下一代iPhone芯片的OEM竞争。我们将看到一场非常激动人心的商业竞争。同时,我们还将获得更多节能、轻便的手机。感谢摩尔律师事务所带来的好处

告诉你什么是包装。经过从设计到制造的漫长过程,你终于得到了一块IC芯片。然而,芯片很小很薄。如果不在外部进行保护,很容易划伤和损坏。此外,由于芯片的尺寸很小,如果没有更大的外壳,手动将其放置在电路板上是不容易的。因此,本文接下来将对包装进行描述和介绍

目前,有两种常见的包装。是dip包装,这种包装在电动玩具中很常见,看起来像一只黑色的蜈蚣。另一个是BGA包,这在购买盒装CPU时很常见。至于其他封装方法,也有早期CPU使用的PGA(引脚网格阵列;引脚网格阵列)或dip的改进版本 QFP(塑料方形扁平封装)等。由于有这么多的封装方法,下面将介绍dip和BGA封装

传统封装有很长的历史 首先要介绍的是双列直插封装(dip)。从下图中,我们可以看到,使用此封装的IC 芯片在双排连接的底部看起来像一只黑色蜈蚣。这种封装方法是最早的IC 封装技术,具有成本低的优点,适用于不需要连接太多导线的小型芯片。然而,由于大多数芯片都是由塑料制成,散热效果较差,不能满足当前高速芯片的要求。因此,使用此封装的大多数芯片都是长期存在的芯片,如下图中的 op741,或对操作速度要求较低、芯片较小、连接孔较少的IC芯片

▲ 左图中的IC芯片是op741,它是常见的电压放大器。右图为其横截面图。该封装使用金线将芯片连接到引线框架。(来源 :左边是Wikipedia,右边是Wikipedia) 至于球栅阵列(BGA)封装,与dip相比,封装体积更小,可以轻松放入体积更小的设备中。此外,由于引脚位于芯片下方,因此可以容纳比dip更多的金属引脚,这非常适合需要更多触点的芯片。然而,这种包装方式成本高,连接方式复杂,因此主要用于单价高的产品

▲ 左图显示了用BGA封装的芯片。右图是BGA使用倒装芯片封装的示意图。(来源:Wikipedia,左图)移动设备正在兴起,新技术也在发展阶段,然而,使用这些包装方法将消耗大量的体积。如今,移动设备和可穿戴设备需要多种组件。如果每个组件都是独立打包的,那么组合起来会占用大量空间。因此,目前有两种方法可以满足降低体积的要求,即 SOC(片上系统)和SIP(分组系统)

在智能手机兴起之初,SOC一词可以在主要的金融杂志上找到。然而,什么是SOC?简单地说,就是将具有不同功能的IC集成到一个芯片中。该方法不仅可以减小芯片的体积,而且可以缩短芯片之间的距离,提高芯片的计算速度。至于制造方法,在IC 设计阶段,将不同的IC放在一起,然后通过之前介绍的设计过程制作掩模。然而,SOC不仅仅具有优势。设计SoC需要大量的技术合作。IC芯片单独封装时,有外部保护,IC与IC 距离远,无交互干扰。然而,当所有IC打包在一起时,这就是噩梦的开始。IC设计工厂应该从最初的简单设计开始 IC成为理解和集成各种功能的IC,增加了工程师的工作量。此外,还会遇到很多情况,例如通信芯片的高频信号可能会影响具有其他功能的IC

此外,SOC还需要获得其他制造商的IP(知识产权)授权,才能将其他制造商设计的组件放入SOC。因为在制作SOC之前,必须获得整个IC的设计细节,才能制作出完整的掩模,这也增加了SOC的设计成本。有些人可能会问,为什么不自己设计一个呢?由于设计各种IC需要大量的IC相关知识,只有像苹果这样资金雄厚的企业才有预算从知名企业招聘顶尖工程师来设计全新的IC。通过合作授权比自行研发更具成本效益

妥协解决方案,SIP出现𞓜 作为替代方案,SIP跃上了芯片集成的舞台。与SOC不同,它从不同的公司购买IC,并最后一次对这些IC进行封装,从而消除了IP 授权步骤,大大降低了设计成本。此外,由于它们是独立的IC,因此彼此之间的干扰程度大大降低

▲ Apple watch使用SIP 技术将整个计算机架构封装到一个芯片中,这不仅达到了预期的效率,而且缩小了尺寸,使手表有更多的空间来放电。(来源:苹果官方网站)𞓜 Apple watch是采用SIP技术的最著名的产品。由于手表内部空间太小,无法采用传统技术,SOC设计成本太高,因此SIP成为首选。有了SIP技术,不仅可以减少体积,还可以缩短IC之间的距离,成为可行的折衷方案。下图是apple®watch芯片的结构图。您可以看到,其中包含了相当多的IC

▲ Apple watch中SIP封装中S1芯片的内部配置图。(来源:chipworks) 包装完成后,需要进入测试阶段。在此阶段,需要确认封装的IC是否正常工作。正确后,可以将其运到装配厂,以制造我们看到的电子产品。到目前为止,半导体行业已经完成了整个生产任务

十大IDM企业: 1。英特尔公司(我ntel)(获得altera)

  1. 三星(南非msung)

  2. 海力士半导体(SK H公司ynix)

  3. 美光(Micron)(收购Elpida)𞓜5、德州仪器(TI公司)(收购国办) 𞓜6,NXP(NXP)(获得免费scale(飞思卡尔))

  4. 东芝公司(到shiba)

  5. 英飞凌(Inf公司ineon)(获得的IR)

  6. 意大利-法国半导体(装货单)

  7. 索尼公司(Sony)

有许多无晶圆厂企业,如高通公司(Qua公司lcomm)

安华高(A.vago)(收购Broadcom)

联发科(MTK公司)

INVISTA(Nvidia)

超微(AMD公司)

深圳海思𞓜 苹果 阿登纳半导体(ADI公司)(收购Linear)

雷扎电子(重新nesas)

快乐科技(妈妈rvell)

萨利斯(十、ilinx)

展讯-安森美(在上)(收购Fairchild,aptina)

罗马半导体(ROHM公司)

对联咏(不vatek)

Daleger半导体(Dialog)

瑞玉(Reltek)

眼镜光电(Himax)

卷云逻辑格(洛杉矶ttice)

大唐半导体𞓜 中国华达𞓜 伊犁 敦泰 中兴通讯𞓜 瑞信微(大鹏kchip)

完整记录(Allw公司inner)

珠海巨力(行动)

Geke micro𞓜 汇鼎科技𞓜 Sibike micro 国信𞓜 国科 君正 瑞星 盈方微 思力微 等多家铸造企业:𞓜 1、台积电(台积电)

  1. 格罗凡(环球金融dries)(集成IBM的IC业务和新加坡许可的CSM)

  2. 台湾联华电子(UMC公司)

  3. 三星(南非msung)

  4. 中芯国际(中芯国际)

  5. Powerchip 7,tower jazz 8,富士通(傅jitsu)

  6. 先锋队𞓜 10、上海华虹弘利(HHNEC公司)

  7. Dongbu 12、ssmc 13、win 14、powercrystal semiconductor(PSC) 15、世界先进(VIS公司)

  8. 梅格纳(麦格纳克hip)

  9. 华润上华(CSMC)𞓜 18、天津中环(tjsemi)19、吉林华为20、上海华立微电子(hlmc)21、长江存储(武汉新新、紫光)22、无锡SK海力士亿发半导体23、英特尔半导体(大连)24、上海先进(ASMC)25、和建科技(苏州)26。天水天光𞓜 27、深圳创始人 28、杭州思兰𞓜 29中国南方科技集团𞓜 30,促销品

密封测试工厂:

1。日月月(ASE公司)(收购silicon technology) 2、安全(A.mkor)(收购J-设备) 3。江苏昌电科技(收购兴科金鹏) 4。历城科技(收购潮峰) 5。新加坡联合技术公司(UTAC) 6。南茂科技 7。齐邦科技 8。天水华天科技 9。南通富士通微电子 10。靖远电子 11。Nepes 12。Unisem公司𞓜 13、福茂科技14、灵盛精密15、深圳SiGe 16、苏州京方17、无锡华润AXA 8、嘉盛半导体19、无锡华金半导体𞓜 20、苏州古泰21、苏州日月新22、深圳百威存储23、北京首钢微(BSMC)24、池州华帝半导体(国家)

  1. 齐中科技(苏州)

  2. 宁波新建半导体𞓜 27、深圳康木科技28、江苏新潮科技29、南通华达微电子30、飞思卡尔半导体(中国)31、海泰半导体(无锡)32、英特尔产品(成都)有𞓜 33、上海凯虹 34、圣迪半导体(上海)(SanDisk)𞓜𞓜

等待

相关推荐

假面骑士:7个新形态,诗岛雾子变身战锤女骑,荆棘刺客又飒又甜战锤骑士假面

蔡依林真实身高165cm,穿炫腹装配短裙,肌肉明显曲线更成熟